歡迎來到Linux教程網
Linux教程網
Linux教程網
Linux教程網
Linux教程網 >> Linux編程 >> Linux編程 >> Ubuntu Linux 64bit下的Verilog仿真

Ubuntu Linux 64bit下的Verilog仿真

日期:2017/3/1 10:08:53   编辑:Linux編程

github: git://github.com/adream307/iverilogTest.git

1.使用 iverilog 編譯

2.使用 vvp 運行仿真程序

3.使用 gtkwave 觀察仿真波形

注意事項:

使用 gtkwave 觀測仿真波形,必須生成 lxt文件,那麼在測試腳本(tb.v)中必須使用 $dumpfile, $dumpvars, $dumpflush

使用步驟:

1.git clone git://github.com/adream307/iverilogTest.git

2.cd iverilogTest

3.make

4.gtkwave tb.lxt,如下圖

5.選擇 tb-test,選中iCLK,iRST_N,iD,oD,這4個信號,單擊 "Insert"

Copyright © Linux教程網 All Rights Reserved